From patchwork Sun Mar 22 10:38:51 2020 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: pinoaffe X-Patchwork-Id: 20843 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id C3F2D27BBEA; Sun, 22 Mar 2020 10:40:11 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.2 (2018-09-13) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-2.8 required=5.0 tests=BAYES_00,DKIM_SIGNED, MAILING_LIST_MULTI,T_DKIM_INVALID,URIBL_BLOCKED autolearn=unavailable autolearn_force=no version=3.4.2 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTP id 96DB527BBE4 for ; Sun, 22 Mar 2020 10:40:11 +0000 (GMT) Received: from localhost ([::1]:44934 helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1jFy1b-0005mG-3j for patchwork@mira.cbaines.net; Sun, 22 Mar 2020 06:40:11 -0400 Received: from eggs.gnu.org ([2001:470:142:3::10]:36122) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1jFy1T-0005ly-Av for guix-patches@gnu.org; Sun, 22 Mar 2020 06:40:04 -0400 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1jFy1S-0002P8-Ao for guix-patches@gnu.org; Sun, 22 Mar 2020 06:40:03 -0400 Received: from debbugs.gnu.org ([209.51.188.43]:42645) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1jFy1R-0002Ok-UZ for guix-patches@gnu.org; Sun, 22 Mar 2020 06:40:02 -0400 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1jFy1R-0005mw-QO for guix-patches@gnu.org; Sun, 22 Mar 2020 06:40:01 -0400 X-Loop: help-debbugs@gnu.org Subject: [bug#40182] [PATCH 1/2] gnu: icestorm: Update to latest revision. Resent-From: pinoaffe@airmail.cc Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Sun, 22 Mar 2020 10:40:01 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: report 40182 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 40182@debbugs.gnu.org X-Debbugs-Original-To: guix-patches@gnu.org Received: via spool by submit@debbugs.gnu.org id=B.158487355522170 (code B ref -1); Sun, 22 Mar 2020 10:40:01 +0000 Received: (at submit) by debbugs.gnu.org; 22 Mar 2020 10:39:15 +0000 Received: from localhost ([127.0.0.1]:48618 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1jFy0h-0005lW-2S for submit@debbugs.gnu.org; Sun, 22 Mar 2020 06:39:15 -0400 Received: from lists.gnu.org ([209.51.188.17]:59630) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1jFy0f-0005lP-Pq for submit@debbugs.gnu.org; Sun, 22 Mar 2020 06:39:14 -0400 Received: from eggs.gnu.org ([2001:470:142:3::10]:35932) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1jFy0e-0005jd-Hd for guix-patches@gnu.org; Sun, 22 Mar 2020 06:39:13 -0400 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1jFy0b-0001ry-8L for guix-patches@gnu.org; Sun, 22 Mar 2020 06:39:11 -0400 Received: from mail.cock.li ([37.120.193.124]:49484) by eggs.gnu.org with esmtps (TLS1.0:DHE_RSA_AES_256_CBC_SHA1:32) (Exim 4.71) (envelope-from ) id 1jFy0R-0001ho-4O for guix-patches@gnu.org; Sun, 22 Mar 2020 06:39:01 -0400 MIME-Version: 1.0 DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/simple; d=airmail.cc; s=mail; t=1584873531; bh=+SUcZEUQPgGE/amks+WnCHXoQk6ON+qZz7imxniYYZ8=; h=Date:From:To:Subject:From; b=P2fGamdSzSLsLZNZ362p9qjZnd7WUglF+tyA6QBG0lI4DozYy9x0M5b0fBGfj/DxK SDbfYhsl80mTMpaW9d0zMjqeqwxtGERM4B823fYYxP9Orv0rTWTTFn8LQS1xdZDtzF Gb+AwwLSJsJjRbMbZWDkvhxQJBiWeAXHt5dSAzsES/O3aby4Ntuo9P0GAyUuvKpWFm 2BLvkeRJh3vSkSfTC2rJ3jZ7E4WxyHMEF/lKF/hulJv/ogct0RyhT0tWBYtX+zZF02 o9U28SiOYHagYjiRlaWHIM6AzPnfdenSr7OZbpu/xgGBgQrgzj3+K3UY38LYuYGiCN B3ObywqN+wB2Q== Date: Sun, 22 Mar 2020 10:38:51 +0000 From: pinoaffe@airmail.cc Message-ID: <2c193888d4d7e49146436ad5981174a8@airmail.cc> X-Sender: pinoaffe@airmail.cc User-Agent: Roundcube Webmail/1.3.10 X-detected-operating-system: by eggs.gnu.org: GNU/Linux 2.2.x-3.x [generic] [fuzzy] X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-detected-operating-system: by eggs.gnu.org: GNU/Linux 2.2.x-3.x [generic] X-Received-From: 209.51.188.43 X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: "Guix-patches" X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (icestorm): Update to latest revision. --- gnu/packages/fpga.scm | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) (version (git-version "0.0" revision commit)) @@ -225,7 +225,7 @@ For synthesis, the compiler generates netlists in the desired format.") (file-name (git-file-name name version)) (sha256 (base32 - "0bqm0rpywm64yvbq75klpyzb1g9sdsp1kvdlyqg4hvm8jw9w8lya")))) + "1qlh99fafb7xga702k64fmc9m700nsddrfgcq4x8qn8fplsb64f1")))) (build-system gnu-build-system) (arguments `(#:tests? #f ; no unit tests that don't need an FPGA exist. diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index dca67ad3ca..5cfc9a96f6 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -212,8 +212,8 @@ For synthesis, the compiler generates netlists in the desired format.") (license license:isc))) (define-public icestorm - (let ((commit "c0cbae88ab47a3879aacf80d53b6a85710682a6b") - (revision "2")) + (let ((commit "0ec00d892a91cc68e45479b46161f649caea2933") + (revision "3")) (package (name "icestorm") From patchwork Sun Mar 22 10:47:48 2020 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: pinoaffe X-Patchwork-Id: 20844 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 9DB6627BBE4; Sun, 22 Mar 2020 10:49:21 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.2 (2018-09-13) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-2.8 required=5.0 tests=BAYES_00,DKIM_SIGNED, MAILING_LIST_MULTI,T_DKIM_INVALID,URIBL_BLOCKED autolearn=unavailable autolearn_force=no version=3.4.2 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTP id 364D427BBEA for ; Sun, 22 Mar 2020 10:49:21 +0000 (GMT) Received: from localhost ([::1]:45030 helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1jFyAS-00021B-JQ for patchwork@mira.cbaines.net; Sun, 22 Mar 2020 06:49:20 -0400 Received: from eggs.gnu.org ([2001:470:142:3::10]:37097) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1jFy9D-0001vl-SJ for guix-patches@gnu.org; Sun, 22 Mar 2020 06:48:05 -0400 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1jFy9C-0006QN-N2 for guix-patches@gnu.org; Sun, 22 Mar 2020 06:48:03 -0400 Received: from debbugs.gnu.org ([209.51.188.43]:42655) by eggs.gnu.org with esmtps (TLS1.0:RSA_AES_128_CBC_SHA1:16) (Exim 4.71) (envelope-from ) id 1jFy9C-0006Q5-90 for guix-patches@gnu.org; Sun, 22 Mar 2020 06:48:02 -0400 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1jFy9C-000666-6Q for guix-patches@gnu.org; Sun, 22 Mar 2020 06:48:02 -0400 X-Loop: help-debbugs@gnu.org Subject: [bug#40182] [PATCH 2/2] gnu: Add nextpnr-ice40 References: <2c193888d4d7e49146436ad5981174a8@airmail.cc> In-Reply-To: <2c193888d4d7e49146436ad5981174a8@airmail.cc> Resent-From: pinoaffe@airmail.cc Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Sun, 22 Mar 2020 10:48:02 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 40182 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 40182@debbugs.gnu.org Received: via spool by 40182-submit@debbugs.gnu.org id=B40182.158487407823428 (code B ref 40182); Sun, 22 Mar 2020 10:48:02 +0000 Received: (at 40182) by debbugs.gnu.org; 22 Mar 2020 10:47:58 +0000 Received: from localhost ([127.0.0.1]:48628 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1jFy97-00065o-VA for submit@debbugs.gnu.org; Sun, 22 Mar 2020 06:47:58 -0400 Received: from mail.cock.li ([37.120.193.124]:51306) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1jFy95-00065S-V8 for 40182@debbugs.gnu.org; Sun, 22 Mar 2020 06:47:56 -0400 MIME-Version: 1.0 DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/simple; d=airmail.cc; s=mail; t=1584874068; bh=jx4WPDHCdiCa6LEWvdbXtsQ+aYIRysaokA1VLhAzUe0=; h=Date:From:To:Subject:From; b=l0EpcQXJgp+dl5DUNhqO42OKzCPEB39Krt8DpwRk1qrs8axOa7pcSGWmgkb9p4lyy Sfi5HcQ6ZgJIN3AfELtvnEzKxh9Kb+hbvDgaNETq7LdHaYvXTuS6mm7+msKDlGXMJc H26u6wUyuEhEazfjCD9X67wWMSPbzJXfX7XCcfYiLNu6peNG604r1jaN1EPhFBHZow 0hq2QydgP+wd/rsOayKVwQx/2Esvkujsvn1VUWg/SBsfpRXZxAFgUb5ye83O2TZWKJ 1PPRtnQHd/EB5SdsTKiS0Og9HqXCcn/BhuFlZcZEoyfEzOUYAerndHdeYG45owgNNG QqGJqOFdScs6w== Date: Sun, 22 Mar 2020 10:47:48 +0000 From: pinoaffe@airmail.cc Message-ID: <55875bc483352f8105513ac5006c0666@airmail.cc> X-Sender: pinoaffe@airmail.cc User-Agent: Roundcube Webmail/1.3.10 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-detected-operating-system: by eggs.gnu.org: GNU/Linux 2.2.x-3.x [generic] X-Received-From: 209.51.188.43 X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: "Guix-patches" X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40): New variable. --- gnu/packages/fpga.scm | 38 ++++++++++++++++++++++++++++++++++++++ 1 file changed, 38 insertions(+) (let ((commit "840bdfdeb38809f9f6af4d89dd7b22959b176fdd") (revision "2")) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 5cfc9a96f6..8f34ef8282 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -44,6 +44,9 @@ #:use-module (gnu packages gperf) #:use-module (gnu packages gawk) #:use-module (gnu packages version-control) + #:use-module (gnu packages qt) + #:use-module (gnu packages boost) + #:use-module (gnu packages algebra) #:use-module (gnu packages libftdi)) (define-public abc @@ -256,6 +259,41 @@ For synthesis, the compiler generates netlists in the desired format.") Includes the actual FTDI connector.") (license license:isc)))) +(define-public nextpnr-ice40 + (let [(commit "c192ba261d77ad7f0a744fb90b01e4a5b63938c4") + (revision "0")] + (package + (name "nextpnr-ice40") + (version (git-version "0.0.0" revision commit)) + (source + (origin + (method git-fetch) + (uri (git-reference + (url "git://github.com/YosysHQ/nextpnr") + (commit commit))) + (sha256 + (base32 + "0g2ar1z89b31qw5vgqj2rrcv9rzncs94184dgcsrz19p866654mf")))) + (inputs + `(("qtbase" ,qtbase) + ("boost" ,boost-with-python3) + ("yosys" ,yosys) + ("eigen" ,eigen) + ("python" ,python) + ("icestorm" ,icestorm))) + (build-system cmake-build-system) + (arguments + `(#:configure-flags `("-DARCH=ice40" + ,(string-append "-DICEBOX_ROOT=" + (assoc-ref %build-inputs "icestorm") + "/share/icebox")) + #:tests? #f)) + (synopsis "Place-and-Route tool for FPGAs") + (description "nextpnr aims to be a vendor neutral, timing driven, +FOSS FPGA place and route tool. ") + (home-page "https://github.com/YosysHQ/nextpnr") + (license license:expat)))) + (define-public arachne-pnr