From patchwork Fri Jan 27 20:34:26 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46461 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id EFB3727BBEB; Fri, 27 Jan 2023 20:35:47 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS,URIBL_BLOCKED autolearn=unavailable autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 6699127BBE9 for ; Fri, 27 Jan 2023 20:35:46 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVRE-0006tv-3S; Fri, 27 Jan 2023 15:35:08 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVRB-0006si-1G for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:05 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVRA-0004k7-Kf for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:04 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVRA-0006P9-GV for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:04 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 1/9] gnu: Add implot. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:35:04 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485169724547 (code B ref 60695); Fri, 27 Jan 2023 20:35:04 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:34:57 +0000 Received: from localhost ([127.0.0.1]:38593 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVR3-0006Nk-1B for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:57 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56700) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQq-0006Lx-3o for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:45 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 6ACA5E8521 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:37 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id hxAr83cfc9S2 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:36 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 6CDF4E850F for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:36 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:26 -0500 Message-Id: X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/toolkits.scm (implot): New variable. --- gnu/packages/toolkits.scm | 50 +++++++++++++++++++++++++++++++++++++++ 1 file changed, 50 insertions(+) diff --git a/gnu/packages/toolkits.scm b/gnu/packages/toolkits.scm index 3030e3aa49..81de853572 100644 --- a/gnu/packages/toolkits.scm +++ b/gnu/packages/toolkits.scm @@ -2,6 +2,7 @@ ;;; Copyright © 2022 Maxim Cournoyer ;;; Copyright © 2020, 2022 Tobias Geerinckx-Rice ;;; Copyright © 2022 John Kehayias +;;; Copyright © 2023 Simon South ;;; ;;; This file is part of GNU Guix. ;;; @@ -141,3 +142,52 @@ (define-public imgui-1.86 (sha256 (base32 "02a7b05zrka20jhzag2jb4jl624i1m456bsv69jb9zgys2p9dv1n")))))) + +(define-public implot + (package + (name "implot") + (version "0.14") + (source (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/epezent/implot") + (commit (string-append "v" version)))) + (file-name (git-file-name name version)) + (sha256 + (base32 + "0kp0h5s9dh7380yink5f091ss5cwfj321n6ym87j4skcp0giiym6")))) + (build-system gnu-build-system) + (arguments + (list + #:phases + #~(modify-phases %standard-phases + (delete 'bootstrap) + (delete 'configure) + (replace 'build + ;; Build a shared library from the source code. + (lambda* (#:key inputs #:allow-other-keys) + (invoke #$(cc-for-target) "-I" (getcwd) + "-I" (search-input-directory inputs "include/imgui") + "-g" "-O2" "-fPIC" "-shared" + "-o" "libimplot.so" + "implot.cpp" + "implot_items.cpp"))) + (replace 'install + ;; Copy the library and header files to the output. + (lambda _ + (install-file "libimplot.so" (string-append #$output "/lib")) + (for-each (lambda (file-name) + (install-file file-name + (string-append #$output + "/include/implot"))) + '("implot.h" "implot_internal.h"))))) + #:tests? #f)) ; no test suite + (inputs + (list imgui)) + (home-page "https://github.com/epezent/implot") + (synopsis "Immediate-mode C++ plotting library for ImGui") + (description "ImPlot is an immediate-mode, GPU-accelerated plotting +library in C++ for the ImGui GUI library, suitable for creating real-time +visualizations or interactive plots. Like ImGui, ImPlot is self-contained and +requires only minimal code to integrate with existing applications.") + (license license:expat))) From patchwork Fri Jan 27 20:34:27 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46462 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 47C8627BBEB; Fri, 27 Jan 2023 20:35:58 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=unavailable autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 8261227BBE9 for ; Fri, 27 Jan 2023 20:35:56 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVRB-0006se-IJ; Fri, 27 Jan 2023 15:35:05 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVR9-0006s9-4X for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:03 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVR8-0004Yf-Rh for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:02 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVR8-0006Od-Cs for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:02 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 2/9] gnu: Add qtimgui. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:35:02 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485168624480 (code B ref 60695); Fri, 27 Jan 2023 20:35:02 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:34:46 +0000 Received: from localhost ([127.0.0.1]:38580 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQs-0006Mb-10 for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:46 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56704) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQq-0006Ly-1s for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:45 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id B2553E8525 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:37 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id PsBDAu8JBNkz for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:37 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 8FA62E8512 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:36 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:27 -0500 Message-Id: X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/qt.scm (qtimgui): New variable. --- gnu/packages/qt.scm | 63 +++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 63 insertions(+) diff --git a/gnu/packages/qt.scm b/gnu/packages/qt.scm index 14fc73ef28..d513794484 100644 --- a/gnu/packages/qt.scm +++ b/gnu/packages/qt.scm @@ -26,6 +26,7 @@ ;;; Copyright © 2022 Foo Chuan Wei ;;; Copyright © 2022 Zhu Zihao ;;; Copyright © 2022 Petr Hodina +;;; Copyright © 2023 Simon South ;;; ;;; This file is part of GNU Guix. ;;; @@ -114,6 +115,7 @@ (define-module (gnu packages qt) #:use-module (gnu packages sqlite) #:use-module (gnu packages telephony) #:use-module (gnu packages tls) + #:use-module (gnu packages toolkits) #:use-module (gnu packages valgrind) #:use-module (gnu packages video) #:use-module (gnu packages vulkan) @@ -3550,6 +3552,67 @@ (define-public python-pyqt+qscintilla (description "This package contains the union of PyQt and the Qscintilla extension."))) +(define-public qtimgui + (let ((commit "48d64a715b75dee24e398f7e5b0942c2ca329334") + (revision "0")) + (package + (name "qtimgui") + (version (git-version "0.0" revision commit)) + (source (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/seanchas116/qtimgui") + (commit commit))) + (file-name (git-file-name name version)) + (sha256 + (base32 + "0x71j8m15w003ak0d7q346rlwyvklkda9l0dwbxfx6kny3gsl11k")))) + (build-system cmake-build-system) + (arguments + (list + #:configure-flags #~(list "-DQTIMGUI_BUILD_IMGUI=OFF" + "-DQTIMGUI_BUILD_IMPLOT=OFF") + #:phases + #~(modify-phases %standard-phases + (add-after 'unpack 'patch-source + (lambda* (#:key inputs #:allow-other-keys) + (substitute* "CMakeLists.txt" + ;; Disable building the examples. + (("^add_subdirectory\\(examples\\)") "")) + (substitute* "src/CMakeLists.txt" + ;; Build shared libraries, not static. + (("STATIC") "SHARED") + ;; Compile with the system imgui headers. + (("^(target_include_directories.*)\\)" _ prefix) + (string-append prefix + " " + (search-input-directory inputs + "include/imgui") + ")"))))) + (replace 'install + ;; No install target provided; manually copy the header and + ;; library files to the output. + (lambda* (#:key source #:allow-other-keys) + (for-each + (lambda (file-name) + (install-file (string-append source "/src/" file-name) + (string-append #$output "/include/qtimgui"))) + '("ImGuiRenderer.h" "QtImGui.h")) + (for-each + (lambda (file-name) + (install-file (string-append "src/" file-name) + (string-append #$output "/lib"))) + '("libqt_imgui_quick.so" "libqt_imgui_widgets.so"))))) + #:tests? #f)) ; no test suite + (inputs + (list imgui-1.86 implot qtbase-5 qtdeclarative-5)) + (home-page "https://github.com/seanchas116/qtimgui") + (synopsis "Qt backend for the ImGui GUI library") + (description "QtImGui allows the ImGui C++ GUI library to be used by Qt +applications within subclasses of @code{QOpenGLWidget} and +@code{QOpenGLWindow}.") + (license license:expat)))) + (define-public qtkeychain (package (name "qtkeychain") From patchwork Fri Jan 27 20:34:28 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46455 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 2AF1C27BBED; Fri, 27 Jan 2023 20:35:16 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 17C6527BBE9 for ; Fri, 27 Jan 2023 20:35:15 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVRD-0006ts-R0; Fri, 27 Jan 2023 15:35:07 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVRA-0006sX-ET for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:04 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVRA-0004iF-6n for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:04 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVRA-0006P2-2z for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:04 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 3/9] gnu: Add sanitizers-cmake. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:35:04 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485169724539 (code B ref 60695); Fri, 27 Jan 2023 20:35:04 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:34:57 +0000 Received: from localhost ([127.0.0.1]:38591 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVR2-0006Ni-Bc for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:56 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56712) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQq-0006M0-2T for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:46 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 11A2EE8512 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:38 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id shnx5yhdFkH8 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:37 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id B2B1EE8515 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:36 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:28 -0500 Message-Id: X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/cmake.scm (sanitizers-cmake): New variable. --- gnu/packages/cmake.scm | 45 ++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 45 insertions(+) diff --git a/gnu/packages/cmake.scm b/gnu/packages/cmake.scm index 87fde01f63..f0d25b707d 100644 --- a/gnu/packages/cmake.scm +++ b/gnu/packages/cmake.scm @@ -12,6 +12,7 @@ ;;; Copyright © 2019 Pierre-Moana Levesque ;;; Copyright © 2020 Jan (janneke) Nieuwenhuizen ;;; Copyright © 2021 Ricardo Wurmus +;;; Copyright © 2023 Simon South ;;; ;;; This file is part of GNU Guix. ;;; @@ -32,6 +33,7 @@ (define-module (gnu packages cmake) #:use-module ((guix licenses) #:prefix license:) #:use-module (guix packages) #:use-module (guix download) + #:use-module (guix gexp) #:use-module (guix git-download) #:use-module (guix utils) #:use-module (guix deprecation) @@ -422,3 +424,46 @@ (define-public emacs-cmake-mode (description "@code{cmakeos-mode} provides an Emacs major mode for editing Cmake files. It supports syntax highlighting, indenting and refilling of comments."))) + +(define-public sanitizers-cmake + (let ((commit "a6748f4f51273d86312e3d27ebe5277c9b1ff870") + (revision "0")) + (package + (name "sanitizers-cmake") + (version (git-version "0.0" revision commit)) + (source (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/arsenm/sanitizers-cmake") + (commit commit))) + (file-name (git-file-name name version)) + (sha256 + (base32 + "0shja5qipcsxgj5v6aic9q3dik0cbahllriigwgpayv5ng3ki1ij")))) + (build-system cmake-build-system) + (arguments + (list + #:configure-flags + #~(list + ;; Enable the "new" behavior established by CMake policy CMP0077, + ;; which pertains to CMake's "option()" command. This is required + ;; for the package's test suite to complete successfully. + ;; Note this setting may become redundant with future versions of + ;; CMake (past 3.24.2). + "-DCMAKE_POLICY_DEFAULT_CMP0077=NEW") + #:phases + #~(modify-phases %standard-phases + ;; No install target provided; manually copy files to a suitable + ;; folder in the output. + (replace 'install + (lambda* (#:key source #:allow-other-keys) + (copy-recursively + (string-append source "/cmake") + (string-append #$output "/share/" #$name "/cmake"))))))) + (synopsis "CMake module to enable sanitizers for binary targets") + (description "@code{sanitizers-cmake} provides a module for the CMake +build system that can enable address, memory, thread and undefined-behavior +sanitizers for binary targets using flags appropriate for the compiler in +use.") + (home-page "https://github.com/arsenm/sanitizers-cmake") + (license license:expat)))) From patchwork Fri Jan 27 20:34:29 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46457 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 5AD8A27BBE9; Fri, 27 Jan 2023 20:35:28 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 57B0B27BBEB for ; Fri, 27 Jan 2023 20:35:27 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVRE-0006ux-QM; Fri, 27 Jan 2023 15:35:08 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVRB-0006sv-Ac for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:05 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVRB-0004kH-0x for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:05 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVRA-0006PI-Tp for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:04 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 4/9] gnu: nextpnr-ice40: Use HTTPS for source URL. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:35:04 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485169724554 (code B ref 60695); Fri, 27 Jan 2023 20:35:04 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:34:57 +0000 Received: from localhost ([127.0.0.1]:38595 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVR3-0006Ns-Eg for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:57 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56864) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQw-0006MJ-5J for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:50 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id A8EE0E8510 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:39 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id SpCC-K9NGbyD for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:38 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id CD351E8516 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:36 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:29 -0500 Message-Id: <9ec251f971ba053eb336b41fd0471905241d96a5.1674850363.git.simon@simonsouth.net> X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[source]: Use HTTPS for URL. --- gnu/packages/fpga.scm | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 45cf0e74cf..bf5ce0e516 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -253,7 +253,7 @@ (define-public nextpnr-ice40 (origin (method git-fetch) (uri (git-reference - (url "git://github.com/YosysHQ/nextpnr") + (url "https://github.com/YosysHQ/nextpnr") (commit commit))) (file-name (git-file-name name version)) (sha256 From patchwork Fri Jan 27 20:34:30 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46459 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 1BC1B27BBF0; Fri, 27 Jan 2023 20:35:42 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 6738827BBE9 for ; Fri, 27 Jan 2023 20:35:41 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVRC-0006tZ-Pk; Fri, 27 Jan 2023 15:35:07 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVR9-0006sO-Vy for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:04 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVR9-0004du-O6 for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:03 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVR9-0006Ou-KV for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:03 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 5/9] gnu: nextpnr-ice40: Use gexps. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:35:03 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485168724494 (code B ref 60695); Fri, 27 Jan 2023 20:35:03 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:34:47 +0000 Received: from localhost ([127.0.0.1]:38584 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQt-0006Mu-1M for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:47 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56714) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQq-0006Lz-2R for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:45 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 9C3E2E8352 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:38 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id uAgObhCePlcg for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:38 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 03A3FE8517 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:36 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:30 -0500 Message-Id: <474ea48b61450f18d8518e3c4bbbab13e5efe4af.1674850363.git.simon@simonsouth.net> X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[arguments]: Use gexps. --- gnu/packages/fpga.scm | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index bf5ce0e516..b710bce553 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -268,11 +268,12 @@ (define-public nextpnr-ice40 yosys)) (build-system cmake-build-system) (arguments - `(#:configure-flags `("-DARCH=ice40" - ,(string-append "-DICEBOX_ROOT=" - (assoc-ref %build-inputs "icestorm") - "/share/icebox")) - #:tests? #f)) + (list #:configure-flags + #~(list "-DARCH=ice40" + (string-append "-DICEBOX_ROOT=" + #$(this-package-input "icestorm") + "/share/icebox")) + #:tests? #f)) (synopsis "Place-and-Route tool for FPGAs") (description "Nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool.") From patchwork Fri Jan 27 20:34:31 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46456 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 20A7C27BBED; Fri, 27 Jan 2023 20:35:27 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 8DE8B27BBE9 for ; Fri, 27 Jan 2023 20:35:26 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVRF-0006vA-7M; Fri, 27 Jan 2023 15:35:09 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVRB-0006tJ-VX for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:06 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVRB-0004kP-NI for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:05 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVRB-0006PQ-8v for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:05 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 6/9] gnu: nextpnr-ice40: Enable tests. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:35:05 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485169824561 (code B ref 60695); Fri, 27 Jan 2023 20:35:05 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:34:58 +0000 Received: from localhost ([127.0.0.1]:38597 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVR3-0006Nz-My for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:58 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56866) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQw-0006MI-5I for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:50 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id E6EB0E8513 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:39 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id rHtzuVxBD1Rc for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:39 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 1E9E8E8518 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:37 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:31 -0500 Message-Id: <1a9d17ed3f7b4493c6dc90603497b83b8f69e125.1674850363.git.simon@simonsouth.net> X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[source]: Enable recursive checkout. [arguments]<#:configure-flags>: Add "-DBUILD_TESTS". <#:tests?>: Remove. --- gnu/packages/fpga.scm | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index b710bce553..ae70c5e6ab 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -254,11 +254,12 @@ (define-public nextpnr-ice40 (method git-fetch) (uri (git-reference (url "https://github.com/YosysHQ/nextpnr") - (commit commit))) + (commit commit) + (recursive? #t))) (file-name (git-file-name name version)) (sha256 (base32 - "1fmxsywgs45g88ra7ips5s2niiiwrkyxdcy742ws18dfk2y4vi9c")))) + "1llkrh8rk1a1xxzx54apbg49ny2jqzzl2rmbkb8188idipq568ws")))) (inputs (list boost eigen @@ -270,10 +271,10 @@ (define-public nextpnr-ice40 (arguments (list #:configure-flags #~(list "-DARCH=ice40" + "-DBUILD_TESTS=ON" (string-append "-DICEBOX_ROOT=" #$(this-package-input "icestorm") - "/share/icebox")) - #:tests? #f)) + "/share/icebox")))) (synopsis "Place-and-Route tool for FPGAs") (description "Nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool.") From patchwork Fri Jan 27 20:34:32 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46458 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 487D427BBEB; Fri, 27 Jan 2023 20:35:33 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=unavailable autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 4FE4F27BBE9 for ; Fri, 27 Jan 2023 20:35:31 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVRD-0006tr-NY; Fri, 27 Jan 2023 15:35:07 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVRC-0006tK-4X for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:06 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVRB-0004kY-Qe for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:05 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVRB-0006PX-Kl for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:05 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 7/9] gnu: nextpnr-ice40: Fix generated version string. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:35:05 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485169824569 (code B ref 60695); Fri, 27 Jan 2023 20:35:05 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:34:58 +0000 Received: from localhost ([127.0.0.1]:38599 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVR4-0006O6-1g for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:58 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56882) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQw-0006MO-DJ for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:51 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 21322E8515 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:41 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id jgYTksGVpq8i for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:40 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 391ADE851C for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:37 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:32 -0500 Message-Id: X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[arguments]<#:configure-flags>: Add "-DCURRENT_GIT_VERSION". --- gnu/packages/fpga.scm | 2 ++ 1 file changed, 2 insertions(+) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index ae70c5e6ab..60a6a143c9 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -272,6 +272,8 @@ (define-public nextpnr-ice40 (list #:configure-flags #~(list "-DARCH=ice40" "-DBUILD_TESTS=ON" + (string-append "-DCURRENT_GIT_VERSION=" + #$(string-take commit 8)) (string-append "-DICEBOX_ROOT=" #$(this-package-input "icestorm") "/share/icebox")))) From patchwork Fri Jan 27 20:34:33 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46463 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 4A2F727BBED; Fri, 27 Jan 2023 20:36:18 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 300F727BBE9 for ; Fri, 27 Jan 2023 20:36:17 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVSE-0007ky-F6; Fri, 27 Jan 2023 15:36:10 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVS6-0007eZ-Qa for guix-patches@gnu.org; Fri, 27 Jan 2023 15:36:03 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVS6-0004xA-II for guix-patches@gnu.org; Fri, 27 Jan 2023 15:36:02 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVS6-0006RN-E6 for guix-patches@gnu.org; Fri, 27 Jan 2023 15:36:02 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 8/9] gnu: nextpnr-ice40: Remove bundled software. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:36:02 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485171624696 (code B ref 60695); Fri, 27 Jan 2023 20:36:02 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:35:16 +0000 Received: from localhost ([127.0.0.1]:38612 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVRL-0006QF-Tn for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:35:16 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56880) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQw-0006MP-DJ for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:51 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 76BDDE8516 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:41 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id mzjgbAgrbKs0 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:41 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 6EB73E8524 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:37 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:33 -0500 Message-Id: <3399249f469cb657463e213a8295c62f81d85780.1674850363.git.simon@simonsouth.net> X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[source]: Add modules, snippet. [native-inputs]: Add googletest, sanitizers-cmake. [inputs]: Add imgui-1.86, pybind11, qtimgui. [arguments]: Add "patch-source" phase; reformat gently. --- gnu/packages/fpga.scm | 74 +++++++++++++++++++++++++++++++++++++------ 1 file changed, 65 insertions(+), 9 deletions(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 60a6a143c9..912b6cfb77 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -7,6 +7,7 @@ ;;; Copyright © 2021 Andrew Miloradovsky ;;; Copyright © 2022 Christian Gelinek ;;; Copyright © 2022 jgart +;;; Copyright © 2023 Simon South ;;; ;;; This file is part of GNU Guix. ;;; @@ -36,10 +37,12 @@ (define-module (gnu packages fpga) #:use-module (gnu packages) #:use-module (gnu packages autotools) #:use-module (gnu packages base) + #:use-module (gnu packages cmake) #:use-module (gnu packages compression) #:use-module (gnu packages elf) #:use-module (gnu packages pkg-config) #:use-module (gnu packages tcl) + #:use-module (gnu packages toolkits) #:use-module (gnu packages readline) #:use-module (gnu packages python) #:use-module (gnu packages python-xyz) @@ -259,24 +262,77 @@ (define-public nextpnr-ice40 (file-name (git-file-name name version)) (sha256 (base32 - "1llkrh8rk1a1xxzx54apbg49ny2jqzzl2rmbkb8188idipq568ws")))) + "1llkrh8rk1a1xxzx54apbg49ny2jqzzl2rmbkb8188idipq568ws")) + (modules '((guix build utils))) + (snippet + #~(begin + ;; Remove bundled source code for which Guix has packages. + ;; Note the bundled copies of json11 and python-console contain + ;; modifications, while QtPropertyBrowser appears to be + ;; abandoned and without an official source. + (with-directory-excursion "3rdparty" + (for-each delete-file-recursively + '("googletest" "imgui" "pybind11" "qtimgui" + "sanitizers-cmake"))) + + ;; Remove references to unbundled code and link against + ;; external libraries instead. + (substitute* "CMakeLists.txt" + (("^\\s+add_subdirectory\\(3rdparty/googletest.*") "") + (("^(\\s+target_link_libraries.*)( gtest_main\\))" + _ prefix suffix) + (string-append prefix " gtest" suffix))) + (substitute* "gui/CMakeLists.txt" + (("^\\s+../3rdparty/(qt)?imgui.*") "") + (("^(target_link_libraries.*)\\)" _ prefix) + (string-append prefix " imgui qt_imgui_widgets)"))))))) + (native-inputs + (list googletest sanitizers-cmake)) (inputs (list boost eigen icestorm + imgui-1.86 + pybind11 python qtbase-5 + qtimgui yosys)) (build-system cmake-build-system) (arguments - (list #:configure-flags - #~(list "-DARCH=ice40" - "-DBUILD_TESTS=ON" - (string-append "-DCURRENT_GIT_VERSION=" - #$(string-take commit 8)) - (string-append "-DICEBOX_ROOT=" - #$(this-package-input "icestorm") - "/share/icebox")))) + (list + #:configure-flags + #~(list "-DARCH=ice40" + "-DBUILD_TESTS=ON" + (string-append "-DCURRENT_GIT_VERSION=" + #$(string-take commit 8)) + (string-append "-DICEBOX_ROOT=" + #$(this-package-input "icestorm") + "/share/icebox")) + #:phases + #~(modify-phases %standard-phases + (add-after 'unpack 'patch-source + (lambda* (#:key inputs #:allow-other-keys) + (substitute* "CMakeLists.txt" + ;; Use the system sanitizers-cmake module. + (("\\$\\{CMAKE_SOURCE_DIR\\}/3rdparty/sanitizers-cmake/cmake") + (string-append + #$(this-package-native-input "sanitizers-cmake") + "/share/sanitizers-cmake/cmake"))) + (substitute* "gui/CMakeLists.txt" + ;; Compile with system imgui and qtimgui headers. + (("^(target_include_directories.*)../3rdparty/imgui(.*)$" + _ prefix suffix) + (string-append prefix + (search-input-directory inputs + "include/imgui") + suffix)) + (("^(target_include_directories.*)../3rdparty/qtimgui/(.*)$" + _ prefix suffix) + (string-append prefix + (search-input-directory inputs + "include/qtimgui") + suffix)))))))) (synopsis "Place-and-Route tool for FPGAs") (description "Nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool.") From patchwork Fri Jan 27 20:34:34 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46460 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id B481727BBE9; Fri, 27 Jan 2023 20:35:44 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=unavailable autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 6E61027BBED for ; Fri, 27 Jan 2023 20:35:41 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pLVRG-0006vI-4q; Fri, 27 Jan 2023 15:35:10 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pLVRC-0006tY-G9 for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:06 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pLVRC-0004ki-5h for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:06 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pLVRC-0006Pe-2Z for guix-patches@gnu.org; Fri, 27 Jan 2023 15:35:06 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH v2 9/9] gnu: nextpnr-ice40: Update to 0.5. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Fri, 27 Jan 2023 20:35:06 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167485169824575 (code B ref 60695); Fri, 27 Jan 2023 20:35:06 +0000 Received: (at 60695) by debbugs.gnu.org; 27 Jan 2023 20:34:58 +0000 Received: from localhost ([127.0.0.1]:38601 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVR4-0006OE-Cq for submit@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:58 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:56916) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pLVQw-0006MV-Kb for 60695@debbugs.gnu.org; Fri, 27 Jan 2023 15:34:51 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id D199FE8517 for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:43 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id dW_lqgNfgugO for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:43 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 87D5BE850F for <60695@debbugs.gnu.org>; Fri, 27 Jan 2023 20:34:37 +0000 (UTC) From: Simon South Date: Fri, 27 Jan 2023 15:34:34 -0500 Message-Id: X-Mailer: git-send-email 2.39.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40): Update to 0.5. [arguments]<#:configure-flags>: Add "-DBUILD_GUI", "-DUSE_IPO"; update "-DCURRENT_GIT_VERSION"; rename "-DICEBOX_ROOT" to "-DICESTORM_INSTALL_PREFIX". --- gnu/packages/fpga.scm | 87 ++++++++++++++++++++++--------------------- 1 file changed, 45 insertions(+), 42 deletions(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 912b6cfb77..54a8d9550e 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -247,45 +247,48 @@ (define-public icestorm (license license:isc)))) (define-public nextpnr-ice40 - (let [(commit "fbe486df459909065d6852a7495a212dfd2accef") - (revision "1")] + (let* ((version "0.5") + (tag (string-append "nextpnr-" version))) (package (name "nextpnr-ice40") - (version (git-version "0.0.0" revision commit)) + (version version) (source - (origin - (method git-fetch) - (uri (git-reference - (url "https://github.com/YosysHQ/nextpnr") - (commit commit) - (recursive? #t))) - (file-name (git-file-name name version)) - (sha256 - (base32 - "1llkrh8rk1a1xxzx54apbg49ny2jqzzl2rmbkb8188idipq568ws")) - (modules '((guix build utils))) - (snippet - #~(begin - ;; Remove bundled source code for which Guix has packages. - ;; Note the bundled copies of json11 and python-console contain - ;; modifications, while QtPropertyBrowser appears to be - ;; abandoned and without an official source. - (with-directory-excursion "3rdparty" - (for-each delete-file-recursively - '("googletest" "imgui" "pybind11" "qtimgui" - "sanitizers-cmake"))) + (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/YosysHQ/nextpnr") + (commit tag) + (recursive? #t))) + (file-name (git-file-name name version)) + (sha256 + (base32 + "119iqxxzbxq2qy8x20awf9gr0nf3y1yjmk36adsg89ly3rb9gwzk")) + (modules '((guix build utils))) + (snippet + #~(begin + ;; Remove bundled source code for which Guix has packages. + ;; Note the bundled copies of json11 and python-console contain + ;; modifications, while QtPropertyBrowser appears to be + ;; abandoned and without an official source. + ;; fpga-interchange-schema is used only by the + ;; "fpga_interchange" architecture target, which this package + ;; doesn't build. + (with-directory-excursion "3rdparty" + (for-each delete-file-recursively + '("googletest" "imgui" "pybind11" "qtimgui" + "sanitizers-cmake"))) - ;; Remove references to unbundled code and link against - ;; external libraries instead. - (substitute* "CMakeLists.txt" - (("^\\s+add_subdirectory\\(3rdparty/googletest.*") "") - (("^(\\s+target_link_libraries.*)( gtest_main\\))" - _ prefix suffix) - (string-append prefix " gtest" suffix))) - (substitute* "gui/CMakeLists.txt" - (("^\\s+../3rdparty/(qt)?imgui.*") "") - (("^(target_link_libraries.*)\\)" _ prefix) - (string-append prefix " imgui qt_imgui_widgets)"))))))) + ;; Remove references to unbundled code and link against external + ;; libraries instead. + (substitute* "CMakeLists.txt" + (("^\\s+add_subdirectory\\(3rdparty/googletest.*") "") + (("^(\\s+target_link_libraries.*)( gtest_main\\))" + _ prefix suffix) + (string-append prefix " gtest" suffix))) + (substitute* "gui/CMakeLists.txt" + (("^\\s+../3rdparty/(qt)?imgui.*") "") + (("^(target_link_libraries.*)\\)" _ prefix) + (string-append prefix " imgui qt_imgui_widgets)"))))))) (native-inputs (list googletest sanitizers-cmake)) (inputs @@ -303,12 +306,12 @@ (define-public nextpnr-ice40 (list #:configure-flags #~(list "-DARCH=ice40" + "-DBUILD_GUI=ON" "-DBUILD_TESTS=ON" - (string-append "-DCURRENT_GIT_VERSION=" - #$(string-take commit 8)) - (string-append "-DICEBOX_ROOT=" - #$(this-package-input "icestorm") - "/share/icebox")) + (string-append "-DCURRENT_GIT_VERSION=" #$tag) + (string-append "-DICESTORM_INSTALL_PREFIX=" + #$(this-package-input "icestorm")) + "-DUSE_IPO=OFF") #:phases #~(modify-phases %standard-phases (add-after 'unpack 'patch-source @@ -334,8 +337,8 @@ (define-public nextpnr-ice40 "include/qtimgui") suffix)))))))) (synopsis "Place-and-Route tool for FPGAs") - (description "Nextpnr aims to be a vendor neutral, timing driven, -FOSS FPGA place and route tool.") + (description "Nextpnr aims to be a vendor neutral, timing driven, FOSS +FPGA place and route tool.") (home-page "https://github.com/YosysHQ/nextpnr") (license license:expat))))