From patchwork Mon Jan 9 19:25:55 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 45994 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id F049427BBEB; Mon, 9 Jan 2023 19:27:25 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS,URIBL_BLOCKED autolearn=unavailable autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id C643927BBE9 for ; Mon, 9 Jan 2023 19:27:24 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pExnZ-0007Bs-9Y; Mon, 09 Jan 2023 14:27:09 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pExnS-0007AE-UO for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:08 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pExnS-0003C3-JO for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:02 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pExnS-0007Fq-Et for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:02 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH 1/9] gnu: Add implot. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 09 Jan 2023 19:27:02 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167329237527739 (code B ref 60695); Mon, 09 Jan 2023 19:27:02 +0000 Received: (at 60695) by debbugs.gnu.org; 9 Jan 2023 19:26:15 +0000 Received: from localhost ([127.0.0.1]:38208 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmg-0007DK-DL for submit@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:14 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:33168) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmd-0007CW-W3 for 60695@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:12 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id C3F24E7AF6 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:06 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id VcyWcqCeBR95 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:06 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 77741E3058 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:06 +0000 (UTC) From: Simon South Date: Mon, 9 Jan 2023 14:25:55 -0500 Message-Id: <413b2f8271dcae1fa1b79a71874e1028fe826651.1673286570.git.simon@simonsouth.net> X-Mailer: git-send-email 2.38.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/toolkits.scm (implot): New variable. --- gnu/packages/toolkits.scm | 50 +++++++++++++++++++++++++++++++++++++++ 1 file changed, 50 insertions(+) diff --git a/gnu/packages/toolkits.scm b/gnu/packages/toolkits.scm index 3030e3aa49..81de853572 100644 --- a/gnu/packages/toolkits.scm +++ b/gnu/packages/toolkits.scm @@ -2,6 +2,7 @@ ;;; Copyright © 2022 Maxim Cournoyer ;;; Copyright © 2020, 2022 Tobias Geerinckx-Rice ;;; Copyright © 2022 John Kehayias +;;; Copyright © 2023 Simon South ;;; ;;; This file is part of GNU Guix. ;;; @@ -141,3 +142,52 @@ (define-public imgui-1.86 (sha256 (base32 "02a7b05zrka20jhzag2jb4jl624i1m456bsv69jb9zgys2p9dv1n")))))) + +(define-public implot + (package + (name "implot") + (version "0.14") + (source (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/epezent/implot") + (commit (string-append "v" version)))) + (file-name (git-file-name name version)) + (sha256 + (base32 + "0kp0h5s9dh7380yink5f091ss5cwfj321n6ym87j4skcp0giiym6")))) + (build-system gnu-build-system) + (arguments + (list + #:phases + #~(modify-phases %standard-phases + (delete 'bootstrap) + (delete 'configure) + (replace 'build + ;; Build a shared library from the source code. + (lambda* (#:key inputs #:allow-other-keys) + (invoke #$(cc-for-target) "-I" (getcwd) + "-I" (search-input-directory inputs "include/imgui") + "-g" "-O2" "-fPIC" "-shared" + "-o" "libimplot.so" + "implot.cpp" + "implot_items.cpp"))) + (replace 'install + ;; Copy the library and header files to the output. + (lambda _ + (install-file "libimplot.so" (string-append #$output "/lib")) + (for-each (lambda (file-name) + (install-file file-name + (string-append #$output + "/include/implot"))) + '("implot.h" "implot_internal.h"))))) + #:tests? #f)) ; no test suite + (inputs + (list imgui)) + (home-page "https://github.com/epezent/implot") + (synopsis "Immediate-mode C++ plotting library for ImGui") + (description "ImPlot is an immediate-mode, GPU-accelerated plotting +library in C++ for the ImGui GUI library, suitable for creating real-time +visualizations or interactive plots. Like ImGui, ImPlot is self-contained and +requires only minimal code to integrate with existing applications.") + (license license:expat))) From patchwork Mon Jan 9 19:25:56 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 45997 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 6F47027BBF0; Mon, 9 Jan 2023 19:27:51 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 7025927BBE9 for ; Mon, 9 Jan 2023 19:27:50 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pExnd-0007De-7V; Mon, 09 Jan 2023 14:27:13 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pExnW-0007AL-S3 for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:08 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pExnS-0003C9-UT for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:04 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pExnS-0007Fx-Q9 for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:02 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH 2/9] gnu: Add qtimgui. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 09 Jan 2023 19:27:02 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167329237527747 (code B ref 60695); Mon, 09 Jan 2023 19:27:02 +0000 Received: (at 60695) by debbugs.gnu.org; 9 Jan 2023 19:26:15 +0000 Received: from localhost ([127.0.0.1]:38210 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmg-0007DM-Ub for submit@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:15 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:33186) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExme-0007CX-5c for 60695@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:13 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 01F6BE3058 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id SiJlvtB0ihq8 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:06 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 91F70E4497 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:06 +0000 (UTC) From: Simon South Date: Mon, 9 Jan 2023 14:25:56 -0500 Message-Id: <655b7031be0bb48f2c805e592357ffff430221ee.1673286570.git.simon@simonsouth.net> X-Mailer: git-send-email 2.38.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/qt.scm (qtimgui): New variable. --- gnu/packages/qt.scm | 63 +++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 63 insertions(+) diff --git a/gnu/packages/qt.scm b/gnu/packages/qt.scm index 6406fd5c49..7ffb52492d 100644 --- a/gnu/packages/qt.scm +++ b/gnu/packages/qt.scm @@ -26,6 +26,7 @@ ;;; Copyright © 2022 Foo Chuan Wei ;;; Copyright © 2022 Zhu Zihao ;;; Copyright © 2022 Petr Hodina +;;; Copyright © 2023 Simon South ;;; ;;; This file is part of GNU Guix. ;;; @@ -114,6 +115,7 @@ (define-module (gnu packages qt) #:use-module (gnu packages sqlite) #:use-module (gnu packages telephony) #:use-module (gnu packages tls) + #:use-module (gnu packages toolkits) #:use-module (gnu packages valgrind) #:use-module (gnu packages video) #:use-module (gnu packages vulkan) @@ -3548,6 +3550,67 @@ (define-public python-pyqt+qscintilla (description "This package contains the union of PyQt and the Qscintilla extension."))) +(define-public qtimgui + (let ((commit "48d64a715b75dee24e398f7e5b0942c2ca329334") + (revision "0")) + (package + (name "qtimgui") + (version (git-version "0.0" revision commit)) + (source (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/seanchas116/qtimgui") + (commit commit))) + (file-name (git-file-name name version)) + (sha256 + (base32 + "0x71j8m15w003ak0d7q346rlwyvklkda9l0dwbxfx6kny3gsl11k")))) + (build-system cmake-build-system) + (arguments + (list + #:configure-flags #~(list "-DQTIMGUI_BUILD_IMGUI=OFF" + "-DQTIMGUI_BUILD_IMPLOT=OFF") + #:phases + #~(modify-phases %standard-phases + (add-after 'unpack 'patch-source + (lambda* (#:key inputs #:allow-other-keys) + (substitute* "CMakeLists.txt" + ;; Disable building the examples. + (("^add_subdirectory\\(examples\\)") "")) + (substitute* "src/CMakeLists.txt" + ;; Build shared libraries, not static. + (("STATIC") "SHARED") + ;; Compile with the system imgui headers. + (("^(target_include_directories.*)\\)" _ prefix) + (string-append prefix + " " + (search-input-directory inputs + "include/imgui") + ")"))))) + (replace 'install + ;; No install target provided; manually copy the header and + ;; library files to the output. + (lambda* (#:key source #:allow-other-keys) + (for-each + (lambda (file-name) + (install-file (string-append source "/src/" file-name) + (string-append #$output "/include/qtimgui"))) + '("ImGuiRenderer.h" "QtImGui.h")) + (for-each + (lambda (file-name) + (install-file (string-append "src/" file-name) + (string-append #$output "/lib"))) + '("libqt_imgui_quick.so" "libqt_imgui_widgets.so"))))) + #:tests? #f)) ; no test suite + (inputs + (list imgui-1.86 implot qtbase-5 qtdeclarative-5)) + (home-page "https://github.com/seanchas116/qtimgui") + (synopsis "Qt backend for the ImGui GUI library") + (description "QtImGui allows the ImGui C++ GUI library to be used by Qt +applications within subclasses of @code{QOpenGLWidget} and +@code{QOpenGLWindow}.") + (license license:expat)))) + (define-public qtkeychain (package (name "qtkeychain") From patchwork Mon Jan 9 19:25:57 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 45998 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id A5ED427BBEB; Mon, 9 Jan 2023 19:27:52 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id ECE6427BBED for ; Mon, 9 Jan 2023 19:27:50 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pExne-0007EZ-IJ; Mon, 09 Jan 2023 14:27:14 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pExnW-0007AJ-Rf for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:08 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pExnT-0003CB-90 for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:04 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pExnT-0007G5-4z for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:03 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH 3/9] gnu: Add sanitizers-cmake. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 09 Jan 2023 19:27:03 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167329237627754 (code B ref 60695); Mon, 09 Jan 2023 19:27:03 +0000 Received: (at 60695) by debbugs.gnu.org; 9 Jan 2023 19:26:16 +0000 Received: from localhost ([127.0.0.1]:38212 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmh-0007DU-Gc for submit@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:15 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:33194) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExme-0007CY-8a for 60695@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:13 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 22AAFE7D2B for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id 39-Ft1XXjsDY for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:06 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id ABAE1E6BE2 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:06 +0000 (UTC) From: Simon South Date: Mon, 9 Jan 2023 14:25:57 -0500 Message-Id: X-Mailer: git-send-email 2.38.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/cmake.scm (sanitizers-cmake): New variable. --- gnu/packages/cmake.scm | 45 ++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 45 insertions(+) diff --git a/gnu/packages/cmake.scm b/gnu/packages/cmake.scm index 87fde01f63..f0d25b707d 100644 --- a/gnu/packages/cmake.scm +++ b/gnu/packages/cmake.scm @@ -12,6 +12,7 @@ ;;; Copyright © 2019 Pierre-Moana Levesque ;;; Copyright © 2020 Jan (janneke) Nieuwenhuizen ;;; Copyright © 2021 Ricardo Wurmus +;;; Copyright © 2023 Simon South ;;; ;;; This file is part of GNU Guix. ;;; @@ -32,6 +33,7 @@ (define-module (gnu packages cmake) #:use-module ((guix licenses) #:prefix license:) #:use-module (guix packages) #:use-module (guix download) + #:use-module (guix gexp) #:use-module (guix git-download) #:use-module (guix utils) #:use-module (guix deprecation) @@ -422,3 +424,46 @@ (define-public emacs-cmake-mode (description "@code{cmakeos-mode} provides an Emacs major mode for editing Cmake files. It supports syntax highlighting, indenting and refilling of comments."))) + +(define-public sanitizers-cmake + (let ((commit "a6748f4f51273d86312e3d27ebe5277c9b1ff870") + (revision "0")) + (package + (name "sanitizers-cmake") + (version (git-version "0.0" revision commit)) + (source (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/arsenm/sanitizers-cmake") + (commit commit))) + (file-name (git-file-name name version)) + (sha256 + (base32 + "0shja5qipcsxgj5v6aic9q3dik0cbahllriigwgpayv5ng3ki1ij")))) + (build-system cmake-build-system) + (arguments + (list + #:configure-flags + #~(list + ;; Enable the "new" behavior established by CMake policy CMP0077, + ;; which pertains to CMake's "option()" command. This is required + ;; for the package's test suite to complete successfully. + ;; Note this setting may become redundant with future versions of + ;; CMake (past 3.24.2). + "-DCMAKE_POLICY_DEFAULT_CMP0077=NEW") + #:phases + #~(modify-phases %standard-phases + ;; No install target provided; manually copy files to a suitable + ;; folder in the output. + (replace 'install + (lambda* (#:key source #:allow-other-keys) + (copy-recursively + (string-append source "/cmake") + (string-append #$output "/share/" #$name "/cmake"))))))) + (synopsis "CMake module to enable sanitizers for binary targets") + (description "@code{sanitizers-cmake} provides a module for the CMake +build system that can enable address, memory, thread and undefined-behavior +sanitizers for binary targets using flags appropriate for the compiler in +use.") + (home-page "https://github.com/arsenm/sanitizers-cmake") + (license license:expat)))) From patchwork Mon Jan 9 19:25:58 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46002 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 025AF27BBEB; Mon, 9 Jan 2023 19:28:03 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=unavailable autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 531D927BBE9 for ; Mon, 9 Jan 2023 19:28:02 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pExnf-0007En-8v; Mon, 09 Jan 2023 14:27:15 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pExnW-0007AN-Sp for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:08 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pExnT-0003CF-Mj for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:04 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pExnT-0007GC-Hz for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:03 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH 4/9] gnu: nextpnr-ice40: Use HTTPS for source URL. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 09 Jan 2023 19:27:03 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167329237627762 (code B ref 60695); Mon, 09 Jan 2023 19:27:03 +0000 Received: (at 60695) by debbugs.gnu.org; 9 Jan 2023 19:26:16 +0000 Received: from localhost ([127.0.0.1]:38214 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmh-0007Db-W1 for submit@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:16 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:33204) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExme-0007Ca-FH for 60695@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:13 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 506C5E7D30 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id JZ5EhfbCogl4 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id C5FAFE7CFA for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:06 +0000 (UTC) From: Simon South Date: Mon, 9 Jan 2023 14:25:58 -0500 Message-Id: X-Mailer: git-send-email 2.38.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[source]: Use HTTPS for URL. --- gnu/packages/fpga.scm | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index acce5f8f82..0924c34e0c 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -283,7 +283,7 @@ (define-public nextpnr-ice40 (origin (method git-fetch) (uri (git-reference - (url "git://github.com/YosysHQ/nextpnr") + (url "https://github.com/YosysHQ/nextpnr") (commit commit))) (file-name (git-file-name name version)) (sha256 From patchwork Mon Jan 9 19:25:59 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 45995 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id E0CA127BBED; Mon, 9 Jan 2023 19:27:33 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 3318827BBE9 for ; Mon, 9 Jan 2023 19:27:33 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pExnc-0007Cl-0w; Mon, 09 Jan 2023 14:27:12 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pExnW-0007AM-SP for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:08 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pExnU-0003CK-TM for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:06 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pExnU-0007GY-OP for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:04 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH 5/9] gnu: nextpnr-ice40: Use gexps. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 09 Jan 2023 19:27:04 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167329238427817 (code B ref 60695); Mon, 09 Jan 2023 19:27:04 +0000 Received: (at 60695) by debbugs.gnu.org; 9 Jan 2023 19:26:24 +0000 Received: from localhost ([127.0.0.1]:38224 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmq-0007EV-Aj for submit@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:24 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:33320) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmk-0007Cp-0N for 60695@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:18 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 4C43AE7EEC for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:09 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id Oj5AH1E9_MUS for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:09 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id E9FC9E7D07 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:06 +0000 (UTC) From: Simon South Date: Mon, 9 Jan 2023 14:25:59 -0500 Message-Id: <3a7ade2a7100f62cf7d3e7b4a25bb7829465f404.1673286570.git.simon@simonsouth.net> X-Mailer: git-send-email 2.38.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[arguments]: Use gexps. --- gnu/packages/fpga.scm | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 0924c34e0c..817ebe3f6b 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -298,11 +298,11 @@ (define-public nextpnr-ice40 yosys)) (build-system cmake-build-system) (arguments - `(#:configure-flags `("-DARCH=ice40" - ,(string-append "-DICEBOX_ROOT=" - (assoc-ref %build-inputs "icestorm") - "/share/icebox")) - #:tests? #f)) + (list #:configure-flags #~(list "-DARCH=ice40" + (string-append "-DICEBOX_ROOT=" + #$icestorm + "/share/icebox")) + #:tests? #f)) (synopsis "Place-and-Route tool for FPGAs") (description "Nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool.") From patchwork Mon Jan 9 19:26:00 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 45999 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id EE3A027BBEE; Mon, 9 Jan 2023 19:27:54 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 87E2D27BBE9 for ; Mon, 9 Jan 2023 19:27:54 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pExnd-0007Df-Fh; Mon, 09 Jan 2023 14:27:13 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pExnW-0007AO-U0 for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:08 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pExnU-0003CJ-F6 for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:04 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pExnU-0007GR-Am for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:04 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH 6/9] gnu: nextpnr-ice40: Enable tests. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 09 Jan 2023 19:27:04 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167329238427810 (code B ref 60695); Mon, 09 Jan 2023 19:27:04 +0000 Received: (at 60695) by debbugs.gnu.org; 9 Jan 2023 19:26:24 +0000 Received: from localhost ([127.0.0.1]:38222 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmq-0007ES-1f for submit@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:24 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:33322) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmk-0007Cq-0O for 60695@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:18 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id E31A0E7CE9 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:11 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id xIo4QaU1bpZm for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:11 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 0EEA8E4497 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) From: Simon South Date: Mon, 9 Jan 2023 14:26:00 -0500 Message-Id: <51964922a525a03b82b8eb0256228a9d1f132c22.1673286570.git.simon@simonsouth.net> X-Mailer: git-send-email 2.38.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[source]: Enable recursive checkout. [arguments]<#:configure-flags>: Add "-DBUILD_TESTS". <#:tests?>: Remove. --- gnu/packages/fpga.scm | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 817ebe3f6b..947fe05dd0 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -284,11 +284,12 @@ (define-public nextpnr-ice40 (method git-fetch) (uri (git-reference (url "https://github.com/YosysHQ/nextpnr") - (commit commit))) + (commit commit) + (recursive? #t))) (file-name (git-file-name name version)) (sha256 (base32 - "1fmxsywgs45g88ra7ips5s2niiiwrkyxdcy742ws18dfk2y4vi9c")))) + "1llkrh8rk1a1xxzx54apbg49ny2jqzzl2rmbkb8188idipq568ws")))) (inputs (list boost eigen @@ -299,10 +300,10 @@ (define-public nextpnr-ice40 (build-system cmake-build-system) (arguments (list #:configure-flags #~(list "-DARCH=ice40" + "-DBUILD_TESTS=ON" (string-append "-DICEBOX_ROOT=" #$icestorm - "/share/icebox")) - #:tests? #f)) + "/share/icebox")))) (synopsis "Place-and-Route tool for FPGAs") (description "Nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool.") From patchwork Mon Jan 9 19:26:01 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 45996 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 76F7227BBED; Mon, 9 Jan 2023 19:27:41 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=ham autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 03B5627BBE9 for ; Mon, 9 Jan 2023 19:27:41 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pExne-0007E8-C2; Mon, 09 Jan 2023 14:27:14 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pExnW-0007AK-Ta for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:08 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pExnV-0003CL-Bk for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:06 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pExnV-0007Gf-6x for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:05 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH 7/9] gnu: nextpnr-ice40: Fix generated version string. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 09 Jan 2023 19:27:05 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167329238527824 (code B ref 60695); Mon, 09 Jan 2023 19:27:05 +0000 Received: (at 60695) by debbugs.gnu.org; 9 Jan 2023 19:26:25 +0000 Received: from localhost ([127.0.0.1]:38226 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmq-0007Ec-L6 for submit@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:24 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:33324) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmk-0007D2-8e for 60695@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:19 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 09CAAE4497 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:13 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id kKwasmbEly5Y for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:12 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 2905AE6BE2 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) From: Simon South Date: Mon, 9 Jan 2023 14:26:01 -0500 Message-Id: X-Mailer: git-send-email 2.38.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[arguments]<#:configure-flags>: Add "-DCURRENT_GIT_VERSION". --- gnu/packages/fpga.scm | 2 ++ 1 file changed, 2 insertions(+) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 947fe05dd0..7649b2bbd6 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -301,6 +301,8 @@ (define-public nextpnr-ice40 (arguments (list #:configure-flags #~(list "-DARCH=ice40" "-DBUILD_TESTS=ON" + (string-append "-DCURRENT_GIT_VERSION=" + #$(string-take commit 8)) (string-append "-DICEBOX_ROOT=" #$icestorm "/share/icebox")))) From patchwork Mon Jan 9 19:26:02 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 8bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46000 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id 2AC5B27BBE9; Mon, 9 Jan 2023 19:27:56 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=unavailable autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id C237927BBED for ; Mon, 9 Jan 2023 19:27:52 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pExng-0007G6-5j; Mon, 09 Jan 2023 14:27:16 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pExnW-0007AP-UO for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:08 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pExnU-0003CI-2x for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:06 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pExnT-0007GJ-V2 for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:03 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH 8/9] gnu: nextpnr-ice40: Remove bundled software. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 09 Jan 2023 19:27:03 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167329237727768 (code B ref 60695); Mon, 09 Jan 2023 19:27:03 +0000 Received: (at 60695) by debbugs.gnu.org; 9 Jan 2023 19:26:17 +0000 Received: from localhost ([127.0.0.1]:38216 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmi-0007Di-A5 for submit@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:16 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:33216) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExme-0007Cc-Pk for 60695@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:14 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 99C85E7EE8 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id DffWi6y6losw for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 48B02E7E7D for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) From: Simon South Date: Mon, 9 Jan 2023 14:26:02 -0500 Message-Id: X-Mailer: git-send-email 2.38.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40)[source]: Add modules, snippet. [native-inputs]: Add googletest, sanitizers-cmake. [inputs]: Add imgui-1.86, pybind11, qtimgui. [arguments]: Add "patch-source" phase; reformat gently. --- gnu/packages/fpga.scm | 70 ++++++++++++++++++++++++++++++++++++++----- 1 file changed, 62 insertions(+), 8 deletions(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 7649b2bbd6..3cb96a1cd1 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -7,6 +7,7 @@ ;;; Copyright © 2021 Andrew Miloradovsky ;;; Copyright © 2022 Christian Gelinek ;;; Copyright © 2022 jgart +;;; Copyright © 2023 Simon South ;;; ;;; This file is part of GNU Guix. ;;; @@ -36,10 +37,12 @@ (define-module (gnu packages fpga) #:use-module (gnu packages) #:use-module (gnu packages autotools) #:use-module (gnu packages base) + #:use-module (gnu packages cmake) #:use-module (gnu packages compression) #:use-module (gnu packages elf) #:use-module (gnu packages pkg-config) #:use-module (gnu packages tcl) + #:use-module (gnu packages toolkits) #:use-module (gnu packages readline) #:use-module (gnu packages python) #:use-module (gnu packages python-xyz) @@ -289,23 +292,74 @@ (define-public nextpnr-ice40 (file-name (git-file-name name version)) (sha256 (base32 - "1llkrh8rk1a1xxzx54apbg49ny2jqzzl2rmbkb8188idipq568ws")))) + "1llkrh8rk1a1xxzx54apbg49ny2jqzzl2rmbkb8188idipq568ws")) + (modules '((guix build utils))) + (snippet + #~(begin + ;; Remove bundled source code for which Guix has packages. + ;; Note the bundled copies of json11 and python-console contain + ;; modifications, while QtPropertyBrowser appears to be + ;; abandoned and without an official source. + (with-directory-excursion "3rdparty" + (for-each delete-file-recursively + '("googletest" "imgui" "pybind11" "qtimgui" + "sanitizers-cmake"))) + + ;; Remove references to unbundled code and link against + ;; external libraries instead. + (substitute* "CMakeLists.txt" + (("^\\s+add_subdirectory\\(3rdparty/googletest.*") "") + (("^(\\s+target_link_libraries.*)( gtest_main\\))" + _ prefix suffix) + (string-append prefix " gtest" suffix))) + (substitute* "gui/CMakeLists.txt" + (("^\\s+../3rdparty/(qt)?imgui.*") "") + (("^(target_link_libraries.*)\\)" _ prefix) + (string-append prefix " imgui qt_imgui_widgets)"))))))) + (native-inputs + (list googletest sanitizers-cmake)) (inputs (list boost eigen icestorm + imgui-1.86 + pybind11 python qtbase-5 + qtimgui yosys)) (build-system cmake-build-system) (arguments - (list #:configure-flags #~(list "-DARCH=ice40" - "-DBUILD_TESTS=ON" - (string-append "-DCURRENT_GIT_VERSION=" - #$(string-take commit 8)) - (string-append "-DICEBOX_ROOT=" - #$icestorm - "/share/icebox")))) + (list + #:configure-flags + #~(list "-DARCH=ice40" + "-DBUILD_TESTS=ON" + (string-append "-DCURRENT_GIT_VERSION=" + #$(string-take commit 8)) + (string-append "-DICEBOX_ROOT=" #$icestorm "/share/icebox")) + #:phases + #~(modify-phases %standard-phases + (add-after 'unpack 'patch-source + (lambda* (#:key inputs #:allow-other-keys) + (substitute* "CMakeLists.txt" + ;; Use the system sanitizers-cmake module. + (("\\$\\{CMAKE_SOURCE_DIR\\}/3rdparty/sanitizers-cmake/cmake") + (string-append #$sanitizers-cmake + "/share/sanitizers-cmake/cmake"))) + (substitute* "gui/CMakeLists.txt" + ;; Compile with system imgui and qtimgui headers. + (("^(target_include_directories.*)../3rdparty/imgui(.*)$" + _ prefix suffix) + (string-append prefix + (search-input-directory inputs + "include/imgui") + suffix)) + (("^(target_include_directories.*)../3rdparty/qtimgui/(.*)$" + _ prefix suffix) + (string-append prefix + (search-input-directory inputs + "include/qtimgui") + suffix)))))))) (synopsis "Place-and-Route tool for FPGAs") (description "Nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool.") From patchwork Mon Jan 9 19:26:03 2023 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Simon South X-Patchwork-Id: 46001 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id D63CD27BBEB; Mon, 9 Jan 2023 19:27:58 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H2,SPF_HELO_PASS autolearn=unavailable autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id AFFB927BBE9 for ; Mon, 9 Jan 2023 19:27:56 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1pExnf-0007Fr-Hj; Mon, 09 Jan 2023 14:27:15 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1pExnW-0007AQ-UN for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:08 -0500 Received: from debbugs.gnu.org ([209.51.188.43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1pExnV-0003Ci-Qt for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:06 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1pExnV-0007Gn-M5 for guix-patches@gnu.org; Mon, 09 Jan 2023 14:27:05 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#60695] [PATCH 9/9] gnu: nextpnr-ice40: Update to 0.5. Resent-From: Simon South Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 09 Jan 2023 19:27:05 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 60695 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 60695@debbugs.gnu.org Received: via spool by 60695-submit@debbugs.gnu.org id=B60695.167329238527831 (code B ref 60695); Mon, 09 Jan 2023 19:27:05 +0000 Received: (at 60695) by debbugs.gnu.org; 9 Jan 2023 19:26:25 +0000 Received: from localhost ([127.0.0.1]:38228 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmq-0007Ek-Uy for submit@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:25 -0500 Received: from mailout.easymail.ca ([64.68.200.34]:33330) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1pExmk-0007D3-FT for 60695@debbugs.gnu.org; Mon, 09 Jan 2023 14:26:19 -0500 Received: from localhost (localhost [127.0.0.1]) by mailout.easymail.ca (Postfix) with ESMTP id 56527E3058 for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:13 +0000 (UTC) X-Virus-Scanned: Debian amavisd-new at emo08-pco.easydns.vpn Received: from mailout.easymail.ca ([127.0.0.1]) by localhost (emo08-pco.easydns.vpn [127.0.0.1]) (amavisd-new, port 10024) with ESMTP id o_RgPV8h6UXJ for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:13 +0000 (UTC) Received: from localhost.localdomain (23-233-96-72.cpe.pppoe.ca [23.233.96.72]) (using TLSv1.3 with cipher TLS_AES_256_GCM_SHA384 (256/256 bits) key-exchange X25519 server-signature RSA-PSS (2048 bits) server-digest SHA256) (No client certificate requested) by mailout.easymail.ca (Postfix) with ESMTPSA id 609B4E7CFA for <60695@debbugs.gnu.org>; Mon, 9 Jan 2023 19:26:07 +0000 (UTC) From: Simon South Date: Mon, 9 Jan 2023 14:26:03 -0500 Message-Id: X-Mailer: git-send-email 2.38.1 In-Reply-To: References: MIME-Version: 1.0 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ice40): Update to 0.5. [arguments]<#:configure-flags>: Add "-DBUILD_GUI", "-DUSE_IPO"; update "-DCURRENT_GIT_VERSION"; rename "-DICEBOX_ROOT" to "-DICESTORM_INSTALL_PREFIX". --- gnu/packages/fpga.scm | 84 ++++++++++++++++++++++--------------------- 1 file changed, 44 insertions(+), 40 deletions(-) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index 3cb96a1cd1..ed1323346a 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -277,45 +277,48 @@ (define-public icestorm (license license:isc)))) (define-public nextpnr-ice40 - (let [(commit "fbe486df459909065d6852a7495a212dfd2accef") - (revision "1")] + (let* ((version "0.5") + (tag (string-append "nextpnr-" version))) (package (name "nextpnr-ice40") - (version (git-version "0.0.0" revision commit)) + (version version) (source - (origin - (method git-fetch) - (uri (git-reference - (url "https://github.com/YosysHQ/nextpnr") - (commit commit) - (recursive? #t))) - (file-name (git-file-name name version)) - (sha256 - (base32 - "1llkrh8rk1a1xxzx54apbg49ny2jqzzl2rmbkb8188idipq568ws")) - (modules '((guix build utils))) - (snippet - #~(begin - ;; Remove bundled source code for which Guix has packages. - ;; Note the bundled copies of json11 and python-console contain - ;; modifications, while QtPropertyBrowser appears to be - ;; abandoned and without an official source. - (with-directory-excursion "3rdparty" - (for-each delete-file-recursively - '("googletest" "imgui" "pybind11" "qtimgui" - "sanitizers-cmake"))) + (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/YosysHQ/nextpnr") + (commit tag) + (recursive? #t))) + (file-name (git-file-name name version)) + (sha256 + (base32 + "119iqxxzbxq2qy8x20awf9gr0nf3y1yjmk36adsg89ly3rb9gwzk")) + (modules '((guix build utils))) + (snippet + #~(begin + ;; Remove bundled source code for which Guix has packages. + ;; Note the bundled copies of json11 and python-console contain + ;; modifications, while QtPropertyBrowser appears to be + ;; abandoned and without an official source. + ;; fpga-interchange-schema is used only by the + ;; "fpga_interchange" architecture target, which this package + ;; doesn't build. + (with-directory-excursion "3rdparty" + (for-each delete-file-recursively + '("googletest" "imgui" "pybind11" "qtimgui" + "sanitizers-cmake"))) - ;; Remove references to unbundled code and link against - ;; external libraries instead. - (substitute* "CMakeLists.txt" - (("^\\s+add_subdirectory\\(3rdparty/googletest.*") "") - (("^(\\s+target_link_libraries.*)( gtest_main\\))" - _ prefix suffix) - (string-append prefix " gtest" suffix))) - (substitute* "gui/CMakeLists.txt" - (("^\\s+../3rdparty/(qt)?imgui.*") "") - (("^(target_link_libraries.*)\\)" _ prefix) - (string-append prefix " imgui qt_imgui_widgets)"))))))) + ;; Remove references to unbundled code and link against external + ;; libraries instead. + (substitute* "CMakeLists.txt" + (("^\\s+add_subdirectory\\(3rdparty/googletest.*") "") + (("^(\\s+target_link_libraries.*)( gtest_main\\))" + _ prefix suffix) + (string-append prefix " gtest" suffix))) + (substitute* "gui/CMakeLists.txt" + (("^\\s+../3rdparty/(qt)?imgui.*") "") + (("^(target_link_libraries.*)\\)" _ prefix) + (string-append prefix " imgui qt_imgui_widgets)"))))))) (native-inputs (list googletest sanitizers-cmake)) (inputs @@ -333,10 +336,11 @@ (define-public nextpnr-ice40 (list #:configure-flags #~(list "-DARCH=ice40" + "-DBUILD_GUI=ON" "-DBUILD_TESTS=ON" - (string-append "-DCURRENT_GIT_VERSION=" - #$(string-take commit 8)) - (string-append "-DICEBOX_ROOT=" #$icestorm "/share/icebox")) + (string-append "-DCURRENT_GIT_VERSION=" #$tag) + (string-append "-DICESTORM_INSTALL_PREFIX=" #$icestorm) + "-DUSE_IPO=OFF") #:phases #~(modify-phases %standard-phases (add-after 'unpack 'patch-source @@ -361,8 +365,8 @@ (define-public nextpnr-ice40 "include/qtimgui") suffix)))))))) (synopsis "Place-and-Route tool for FPGAs") - (description "Nextpnr aims to be a vendor neutral, timing driven, -FOSS FPGA place and route tool.") + (description "Nextpnr aims to be a vendor neutral, timing driven, FOSS +FPGA place and route tool.") (home-page "https://github.com/YosysHQ/nextpnr") (license license:expat))))