From patchwork Mon Jan 29 15:03:56 2024 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: Gary Wong X-Patchwork-Id: 59555 Return-Path: X-Original-To: patchwork@mira.cbaines.net Delivered-To: patchwork@mira.cbaines.net Received: by mira.cbaines.net (Postfix, from userid 113) id D3DCB27BBE2; Mon, 29 Jan 2024 15:05:00 +0000 (GMT) X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on mira.cbaines.net X-Spam-Level: X-Spam-Status: No, score=-3.9 required=5.0 tests=BAYES_00,MAILING_LIST_MULTI, RCVD_IN_MSPIKE_H5,RCVD_IN_MSPIKE_WL,SPF_HELO_PASS autolearn=unavailable autolearn_force=no version=3.4.6 Received: from lists.gnu.org (lists.gnu.org [209.51.188.17]) by mira.cbaines.net (Postfix) with ESMTPS id 5F46B27BBE9 for ; Mon, 29 Jan 2024 15:05:00 +0000 (GMT) Received: from localhost ([::1] helo=lists1p.gnu.org) by lists.gnu.org with esmtp (Exim 4.90_1) (envelope-from ) id 1rUTBv-0001Un-EQ; Mon, 29 Jan 2024 10:04:55 -0500 Received: from eggs.gnu.org ([2001:470:142:3::10]) by lists.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.90_1) (envelope-from ) id 1rUTBt-0001UW-MB for guix-patches@gnu.org; Mon, 29 Jan 2024 10:04:53 -0500 Received: from debbugs.gnu.org ([2001:470:142:5::43]) by eggs.gnu.org with esmtps (TLS1.2:ECDHE_RSA_AES_128_GCM_SHA256:128) (Exim 4.90_1) (envelope-from ) id 1rUTBt-0003xa-Dp for guix-patches@gnu.org; Mon, 29 Jan 2024 10:04:53 -0500 Received: from Debian-debbugs by debbugs.gnu.org with local (Exim 4.84_2) (envelope-from ) id 1rUTC1-0001EK-NP for guix-patches@gnu.org; Mon, 29 Jan 2024 10:05:01 -0500 X-Loop: help-debbugs@gnu.org Subject: [bug#68791] [PATCH 3/3] gnu: Add nextpnr-ecp5. References: <20240129042736.GA13188@flux.utah.edu> Resent-From: Gary Wong Original-Sender: "Debbugs-submit" Resent-CC: guix-patches@gnu.org Resent-Date: Mon, 29 Jan 2024 15:05:01 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 68791 X-GNU-PR-Package: guix-patches X-GNU-PR-Keywords: patch To: 68791@debbugs.gnu.org Received: via spool by 68791-submit@debbugs.gnu.org id=B68791.17065406524631 (code B ref 68791); Mon, 29 Jan 2024 15:05:01 +0000 Received: (at 68791) by debbugs.gnu.org; 29 Jan 2024 15:04:12 +0000 Received: from localhost ([127.0.0.1]:33226 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1rUTBE-0001Cd-5S for submit@debbugs.gnu.org; Mon, 29 Jan 2024 10:04:12 -0500 Received: from bas.flux.utah.edu ([155.98.60.2]:14869) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1rUTBC-0001CN-0K for 68791@debbugs.gnu.org; Mon, 29 Jan 2024 10:04:10 -0500 Received: from bas.flux.utah.edu (localhost [127.0.0.1]) by bas.flux.utah.edu (8.15.2/8.15.2) with ESMTP id 40TF3uAg084149 for <68791@debbugs.gnu.org>; Mon, 29 Jan 2024 08:03:56 -0700 (MST) (envelope-from gtw@bas.flux.utah.edu) Received: (from gtw@localhost) by bas.flux.utah.edu (8.15.2/8.15.2/Submit) id 40TF3ukX084148 for 68791@debbugs.gnu.org; Mon, 29 Jan 2024 08:03:56 -0700 (MST) (envelope-from gtw) Date: Mon, 29 Jan 2024 08:03:56 -0700 From: Gary Wong Message-ID: <20240129150356.GA84024@flux.utah.edu> MIME-Version: 1.0 Content-Disposition: inline In-Reply-To: <20240129042736.GA13188@flux.utah.edu> User-Agent: Mutt/1.12.1 (2019-06-15) X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list X-BeenThere: guix-patches@gnu.org List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org Sender: guix-patches-bounces+patchwork=mira.cbaines.net@gnu.org X-getmail-retrieved-from-mailbox: Patches * gnu/packages/fpga.scm (nextpnr-ecp5): New variable. Change-Id: Iec50903140fc9f0458423adbbe333e189e601922 --- gnu/packages/fpga.scm | 26 ++++++++++++++++++++++++++ 1 file changed, 26 insertions(+) diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm index b42a3503..3dcd981e 100644 --- a/gnu/packages/fpga.scm +++ b/gnu/packages/fpga.scm @@ -652,3 +652,29 @@ (define-public trellis for bitstream creation.") (license (list license:isc license:expat)) (home-page "https://github.com/YosysHQ/prjtrellis"))) + +(define-public nextpnr-ecp5 + (package + (name "nextpnr-ecp5") + (version "0.7") + (source + (origin + (method git-fetch) + (uri (git-reference + (url "https://github.com/YosysHQ/nextpnr") + (commit (string-append "nextpnr-" version)))) + (file-name (git-file-name name version)) + (sha256 + (base32 "146nc05ypsyjnxdpayc4g6d16f5igry46nydrb13niix51q11030")))) + (inputs (list boost eigen python trellis)) + (build-system cmake-build-system) + (arguments + `(#:configure-flags `("-DARCH=ecp5" ,(string-append + "-DTRELLIS_INSTALL_PREFIX=" + (assoc-ref %build-inputs "trellis"))) + #:tests? #f)) ;contains no test target + (synopsis "Portable FPGA place and route tool") + (description "Nextpnr aims to be a vendor neutral, timing driven, +FOSS FPGA place and route tool.") + (home-page "https://github.com/YosysHQ/nextpnr") + (license license:isc)))